Computers & Internet Books:

VHDL Answers to Frequently Asked Questions

Click to share your rating 0 ratings (0.0/5.0 average) Thanks for your vote!

By:

Format:

Hardback
$450.00
Available from supplier

The item is brand new and in-stock with one of our preferred suppliers. The item will ship from a Mighty Ape warehouse within the timeframe shown.

Usually ships in 3-4 weeks
Free Delivery with Primate
Join Now

Free 14 day free trial, cancel anytime.

Buy Now, Pay Later with:

4 payments of $112.50 with Afterpay Learn more

6 weekly interest-free payments of $75.00 with Laybuy Learn more

Availability

Delivering to:

Estimated arrival:

  • Around 20 Jun - 2 Jul using International Courier

Description

This volume is a follow up to the author's books, "VHDL Answers to Frequently Asked Questions" (ISBN 0-7923-9791-6) and "VHDL Coding Styles and Methodologies" (ISBN 0-7923-9598-0). It addresses: misinterpretations in the use of the language; methods for writing error-free, and simulation-efficient, code for testbench designs and for synthesis; and general principles and guidelines for design verification. This second edition includes the following additions: a new chapter on design for reuse that defines coding and design techniques that are impermeable to new technologies and are malleable to new requirements; more questions and answers including discussions on applications of guarded signals and shared variables; and more models including the design of a reusable priority encoder, and a switch; more packages including an enhancement of image package to convert values to text strings in binary, hexadecimal, and decimal formats, and the complex package that defines complex numbers and overloaded operators. The text emphasizes real VHDL, rather than philosophical or introductory types of information; emphasizes application of VHDL for synthesis; uses complete examples to demonstrate problems and solutions; provides a disk that includes all the book examples and other useful VHDL reference material; uses easy to remember symbology notation to emphasize language rules, good and poor methodology and coding styles; identifies obsolete VHDL constructs that must be avoided; identifies synthesizable/non-synthesizable structures; and uses a question and answer format to clarify and emphasize the concerns of VHDL users.
Release date NZ
January 31st, 1998
Author
Audiences
  • Postgraduate, Research & Scholarly
  • Professional & Vocational
  • Undergraduate
Edition
2nd ed. 1998
Illustrations
XXIX, 384 p.
Pages
384
Dimensions
178x254x23
ISBN-13
9780792381150
Product ID
2438092

Customer reviews

Nobody has reviewed this product yet. You could be the first!

Write a Review

Marketplace listings

There are no Marketplace listings available for this product currently.
Already own it? Create a free listing and pay just 9% commission when it sells!

Sell Yours Here

Help & options

Filed under...